di Giuseppe Saieva di lunedì 5 dicembre 2022

Intel “One Trillion” (1.000 miliardi) transistor

intel “one

La ricerca di Intel apre la strada a “One Trillion” (1.000 miliardi) transistor entro il 2030

All’IEDM 2022, in occasione del 75° anniversario del transistor, Intel punta ad accrescere di 10 voltela densità nella tecnologia di packaging e utilizza un innovativo materiale dello spessore di soli 3 atomiper un dimensionamento dei transistor sempre più avanzato.

Intel ha presentato oggi nuovi avanzamenti nella ricerca per mantenere la legge di Moore sul percorso verso i mille miliardi (one trillion) di transistor su un singolo package entro il prossimo decennio. Durante l’IEEE International Electron Devices Meeting (IEDM) 2022, i ricercatori Intel hanno mostrato i progressi raggiunti nella tecnologia di packaging 3D, accrescendo di 10 volte della densità, nuovi materiali per il ridimensionamento dei transistor 2D oltre il livello RibbonFET, incluso un materiale ultrasottile dello spessore di soli 3 atomi, nuovi avanzamenti nell'efficienza energetica e nella memoria per un calcolo più performante, e progressi nel calcolo quantistico.

“A settantacinque anni dall'invenzione del transistor, l'innovazione che guida la legge di Moore continua a soddisfare la crescente domanda di potenza di calcolo. All’IEDM 2022 Intel ha presentato non solo possibili sviluppi futuri della ricerca ma anche risultati concreti, entrambi necessari per abbattere le barriere attuali e future, soddisfare questa domanda e mantenere sempre attuale la Legge di Moore”. — Gary Patton, Intel vice president e general manager, Components Research and Design Enablement

Che cosa c’è in programma all’IEDM: per commemorare il 75° anniversario del transistor, si terrà una sessione plenaria durante la quale la dott.ssa Ann Kelleher, Intel executive vice president e general manager of Technology Development, delineerà i percorsi da seguire per la continua innovazione del settore, chamando a raccolta l'ecosistema attorno a una strategia basata su sistemi per affrontare la crescente domanda di potenza di calcolo e innovare in modo più efficace, tenendo il passo della Legge di Moore.La sessione “Celebrating 75 Years of the Transistor! A Look at the Evolution of Moore's Law Innovation" è prevista per lunedì 5 dicembre alle 18.45 CET.

Perché è importante: la legge di Moore è fondamentale per soddisfare la sempre crescente richiesta di potenza di calcolo a livello mondiale poiché l'aumento del consumo di dati e la spinta verso una maggiore diffusione dell’intelligenza artificiale (AI) determinano la più grande accelerazione mai vista di questa domanda.

L'innovazione continua è alla base della legge di Moore. Molte delle più importanti pietre miliari dell'innovazione per il continuo miglioramento di potenza, delle prestazioni e dei costi negli ultimi due decenni – tra cui il silicio allungato (“strained silicon”), il gate metallico Hi-K e il FinFET nei personal computer, nelle schede grafiche e nei data center – sono partite dal Components Research Group di Intel. Ulteriori ricerche, tra cui i transistor RibbonFET gate-all-around (GAA), la tecnologia di erogazione di potenza dal lato posteriore PowerVia e avanzamenti nel packaging come EMIB e Foveros Direct, sono oggi nella roadmap.

All’IEDM 2022 il Components Research Group di Intel ha mostrato il proprio impegno nell’innovazione di tre aree fondamentali per l’avanzamento della legge di Moore: nuova tecnologia di packaging 3D hybrid bonding per consentire una perfetta integrazione dei chiplet, materiali 2D ultrasottili per adattare più transistor su un singolo chip e nuove possibilità in termini di efficienza energetica e memoria per una maggiore potenza di calcolo.

Come lo facciamo:i ricercatori delComponents Research Group hanno identificato nuovi materiali e processi che rendono sempre più labile il confine tra packaging e silicio, rivelando nuovi importanti progressi nel packaging 3D nel percorso della Legge di Moore verso i mille miliardi di transistor su un singolo package, raggiungendo una densità di interconnessione di 10 volte superiore, che porta a chip quasi-monolitici. Le innovazioni di Intel nel campo dei materiali hanno inoltre portato a scelte progettuali pratiche in grado di soddisfare i requisiti del ridimensionamento dei transistor, grazie all’implementazione di un nuovo materiale dello spessore di soli 3 atomi che consente di continuare a scalare oltre il livello RibbonFET.

Intel introduce chip quasi-monolitici per il packaging 3D di nuova generazione:

  • La più recente ricerca Intel sull’hybrid bonding, presentata all’IEDM 2022, mostra un miglioramento di 10 volte della densità rispetto ai risultati annunciati da Intel all’IEDM 2021.
  • Lo scaling continuo dell’Hybrid Bonding a un passo di 3 µm raggiunge densità di interconnessione e ampiezze di banda simili a quelle che si trovano nelle connessioni dei "system-on-chip" monolitici.

Intel guarda a materiali "2D" super sottili per ospitare sempre più transistor su un singolo chip:

·Intel ha dato dimostrazione della realizzazione di nanosheet impilati gate-all-around utilizzando materiale 2D di soli 3 atomi di spessore al posto del Si, ottenendo al contempo tempo uno switching quasi ideale dei transistor a temperatura ambiente con bassa dispersione. Queste due scoperte sono fondamentali per l’avanzamento dello stacking dei transistor GAA.

·I ricercatori hanno inoltre presentato la prima analisi completa delle topologie di contatto elettrico su materiali 2D, che potrebbero aprire la strada a canali di transistor ad alte prestazioni e scalabili.

Intel offre nuove possibilità in termini di efficienza energetica e memoria per un'elaborazione più performante:

  • Per utilizzare l'area del chip in modo più efficace, Intel ridefinisce lo scaling sviluppando una memoria che può essere posizionata verticalmente sopra i transistor. Per la prima volta nel settore, Intel presenta condensatori ferroelettrici impilati con prestazioni corrispondenti a quelle dei condensatori trench ferroelettrici convenzionali e che possono essere utilizzati per costruire FeRAM su un die logico.
  • Un modello a livello di dispositivo che rappresenta un primato per il settore, cattura fasi miste e difetti per dispositivi hafnia ferroelettrici migliorati, segnando progressi significativi nello sviluppo di nuove memorie e transistor ferroelettrici.
  • Segnando nuovi progressi nella transizione oltre il 5G e risolvendo le sfide dell'efficienza energetica, Intel sta costruendo un percorso praticabile verso wafer GaN-on-silicon da 300 mm. Le scoperte di Intel in quest'area mostrano un guadagno di 20 volte rispetto al GaN standard del settore e stabiliscono un record figure-of-merit nell'erogazione di potenza ad alte prestazioni.
  • Intel sta facendo passi da gigante nelle tecnologie super efficienti dal punto di vista energetico, in particolare transistor “che non dimenticano”, conservando i dati anche quando l'alimentazione è spenta. I ricercatori Intel hanno già infranto due delle tre barriere che impediscono alla tecnologia di essere pienamente praticabile e operativa a temperatura ambiente.

Intel continua a introdurre nuovi concetti in fisica con progressi nella fornitura di qubit migliori per il quantum computing:

I ricercatori di Intel lavorano per trovare modi migliori per archiviare le informazioni quantistiche, grazie anche a una migliore comprensione di diversi difetti di interfaccia che potrebbero agire come disturbi ambientali influenzando i dati quantistici.